查看原文
其他

TOP100硬件安全经典论文大盘点

张跃军等 电子与信息学报 2022-08-28


编者按

作为信息产业的基石,集成电路已经成为一个国家创新技术的重要标志,也是国家信息产业做大做强,实现自主可控发展的重要支撑。目前,先进芯片技术领域在如何提高片上算力、降低芯片能耗、提高芯片安全等方向都存在很多研究的热点和难点问题。2021年,《电子与信息学报》编委会团队精心梳理汇总了集成电路与先进芯片的经典文献,按照以下4个方向:(1)低功耗集成电路设计(2)集成电路硬件安全(3)EDA模型降阶;(4)集成电路可测性、可靠性、可制造性设计,梳理了4份TOP100经典文献大盘点榜单,希望能够为从事集成电路和先进芯片设计研究的老师和同学们提供一些帮助!


信息安全技术确保了各种关键信息的安全保存和传输,因此高性能智能卡在我国已经非常普及,如银行卡、身份证、交通卡、手机卡等,为人们生活带来了便利。现代电子设备由集成电路构成,信息安全技术也依赖于相应的集成电路(如密码芯片)作为硬件载体。硬件安全是信息安全的保障,它有效地实现用户的身份验证、密钥存储等关键信息的保护,为集成电路的安全性提供了有效保障,受到国内外研究人员的广泛关注。

为此,《电子与信息学报》整理转载了硬件安全领域Top100的论文供大家参考学习。该榜单是对硬件安全领域的高被引论文进行排名,并且引用次数根据论文发表的时间标准化所得。


(点击DOI号即可跳转到全文链接)
NO.01-NO.10

1.Daihyun Lim, Jae W. Lee, Blaise Gassend, G. Edward Suh, Marten van Dijk, and Srinivas Devadas

Extracting Secret Keys from Integrated Circuits

IEEE Transactions on Computers

1057 cites at Google Scholar

DOI: 10.1109/TVLSI.2005.859470

2.Daniel E. Holcomb, Wayne Burleson and Kevin Fu

Power-Up SRAM State as An Identifying Fingerprint and Source of True Random Numbers

IEEE Transactions on Computers

857 cites at Google Scholar

DOI: 10.1109/TC.2008.212

3.Ulrich Rührmair, Jan Sölter, Frank Sehnke, Xiaolin Xu, Ahmed Mahmoud, Vera Stoyanova, Gideon Dror, Jürgen Schmidhuber, Wayne Burleson, and Srinivas Devadas

PUF Modeling Attacks on Simulated and Silicon Data

IEEE transactions on information forensics and security (TIFS)

469 cites at Google Scholar

DOI: 10.1109/TIFS.2013.2279798

4.Meng-Day Yu and Srinivas Devadas

Secure and Robust Error Correction for Physical Unclonable Functions

IEEE Design & Test of Computers (MDT)

406 cites at Google Scholar

DOI: 10.1109/MDT.2010.25

5.Rajat Subhra Chakraborty, Francis Wolff, Somnath Paul, Christos Papachristou, and Swarup Bhunia.

MERO: A Statistical Approach for Hardware Trojan Detection.

Part of the Lecture Notes in Computer Science book series.

451 cites at Google Scholar.

DOI: 10.1186/s40537-020-00305-w

6.Jiliang Zhang

A Practical Logic Obfuscation Technique for Hardware Security

IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2015

125 cites at Google Scholar

DOI: 10.1109/TVLSI.2015.2437996

7.Rajendran, Jeyavijayan, Sam, Michael, Sinanoglu, Ozgur and Karri Ramesh

Security Analysis of Integrated Circuit Camouflaging

Proceedings of the 2013 ACM SIGSAC conference on Computer & Communications Security 2013

399 cites at Google Scholar

DOI: 10.1145/2508859.2516656

8.Massad, Mohamed El, Garg, Siddharth and Tripunitara and Mahesh

Integrated Circuit (IC) Decamouflaging: Reverse Engineering Camouflaged ICs within Minutes

NDSS 2015

172 cites at Google Scholar

DOI: 10.14722/ndss.2015.23218

9.Mohammad MohammadTehranipoor, Swarup K Bhunia, Ramesh Karri, YierJin, Domenic Forte and Kan Xiao

Hardware Trojans: Lessons Learned After One Decade of Research

ACM Transactions on Design Automation of Electronic Systems

311 cites at Google Scholar

DOI: 10.1145/2906147

10.John Kelsey, Bruce Schneier, David Wagner and Chris Hall

Side Channel Cryptanalysis of Product Ciphers

European Symposium on Research in Computer Security

118 cites at Google Scholar

DOI:10.1007/bfb0055858




NO.11-NO.20

11.YierJin and Yiorgos Makris

Hardware Trojan Detection Using Path Delay Fingerprint

2008 IEEE International Workshop on Hardware-Oriented Security and Trust

736 cites at Google Scholar

DOI: 10.1109/HST.2008.4559049

12.Tiri, Kri and Verbauwhede Ingrid

A Logic Level Design Methodology for A Secure DPA Resistant ASIC or FPGA Implementation

Proceedings Design, Automation and Test in Europe Conference and Exhibition

900 cites at Google Scholar

DOI: 10.1109/DATE.2004.1268856

13.Ali Dorri, Salil S. Kanhere, Raja Jurdak and Praveen Gauravaram

Blockchain for IoT Security and Privacy: The case Study of A Smart Home

2017 IEEE International Conference on Pervasive Computing and Communications Workshops (PerCom Workshops)

1262 cites at Google Scholar

DOI: 10.1109/PERCOMW.2017.7917634

14.Rajat Subhra Chakraborty and Swarup Bhunia

HARPOON: An Obfuscation-Based SoC Design Methodology for Hardware Protection

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

440 cites at Google Scholar

DOI: 10.1109/TCAD.2009.2028166

15.Stefan Berger, Ramón Cáceres, Kenneth A. Goldman, Ronald Perez, Reiner Sailer and Leendert van Doorn

VTPM: Virtualizing the Trusted Platform Module

2006 15th USENIX Security Symposium

764 cites at Google Scholar

DOI:10.5555/1267336.1267357

16.J Rajendran,YPino,OSinanoglu and R Karri

Security Analysis of Logic Obfuscation

DAC '12: The 49th Annual Design Automation Conference 2012 San Francisco California June 3 - 7, 2012

425 cites at Google Scholar

DOI: 10.1145/2228360.2228377

17.Yu-Wei Lee and Nur A. Touba

Improving Logic Obfuscation Via Logic Cone Analysis

2015 16th Latin-American Test Symposium (LATS)

100 cites at Google Scholar

DOI: 10.1109/LATW.2015.7102410

18.Kaveh Shamsi, Meng Li, Travis Meade, Zheng Zhao, David Z. Pan and YierJin

Cyclic Obfuscation for Creating SAT-Unresolvable Circuits

GLSVLSI '17: Proceedings of the on Great Lakes Symposium on VLSI 2017May 2017

126 cites at Google Scholar

DOI: 10.1109/TCAD.2009.2028166

19.Yang Xie and Ankur Srivastava

Anti-SAT: Mitigating SAT Attack on Logic Locking

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

110 cites at Google Scholar

DOI: 10.1109/TCAD.2018.2801220

20.Muhammad Yasin, Bodhisatwa Mazumdar, OzgurSinanoglu and Jeyavijayan Rajendran

Removal Attacks on Logic Locking and Camouflaging Techniques

IEEE Transactions on Emerging Topics in Computing

166 cites at Google Scholar

DOI: 10.1109/TETC.2017.2740364




NO.21-NO.30

21.Rajat Subhra Chakraborty and Swarup Bhunia

VTPM: Virtualizing the Trusted Platform Module

2006 15th USENIX Security Symposium

764 cites at Google Scholar.

DOI: 10.1145/1687399.1687424

22.Jeyavijayan Rajendran, Youngok Pino, OzgurSinanoglu and Ramesh Karri

Logic Encryption: A Fault Analysis Perspective

2012 Design, Automation & Test in Europe Conference & Exhibition

154 cites at Google Scholar

DOI: 10.1109/DATE.2012.6176634

23.Muhammad Yasin, Bodhisatwa Mazumdar, Jeyavijayan(JV) Rajendran and OzgurSinanoglu

SARLock: SAT Attack Resistant Logic Locking

2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST)

298 cites at Google Scholar

DOI: 10.1109/HST.2016.7495588

24.Kaveh Shamsi, Meng Li, Travis Meade, Zheng Zhao, David Z. Pan and YierJin

AppSAT: Approximately DeobfuscatingIntegrated Circuits

2017 IEEE International Symposium on Hardware Oriented Security and Trust

227 cites at Google Scholar

DOI: 10.1109/HST.2017.7951805

25.Rajat Subhra Chakraborty and Swarup Bhunia

Hardware Protection and Authentication Through Netlist Level Obfuscation

2008 IEEE/ACM International Conference on Computer-Aided Design

153 cites at Google Scholar

DOI: 10.1109/ICCAD.2008.4681649

26.Pramod Subramanyan, Sayak Ray and Sharad Malik

Evaluating the Security of Logic Encryption Algorithms

2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST)

494 cites at Google Scholar

DOI: 10.1109/HST.2015.7140252

27.Yuanqi Shen and Hai Zhou

Double DIP: Re-Evaluating Security of Logic Encryption Algorithms

GLSVLSI '17: Proceedings of the on Great Lakes Symposium on VLSI 2017

156 cites at Google Scholar

DOI: 10.1145/3060403.3060469

28.Muhammad Yasin, Bodhisatwa Mazumdar, OzgurSinanoglu and Jeyavijayan Rajendran

Security Analysis of Anti-SAT

2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC)

134 cites at Google Scholar

DOI: 10.1109/ASPDAC.2017.7858346

29.Rajat Subhra Chakraborty and Swarup Bhunia

RTL Hardware IP Protection Using Key-Based Control and Data Flow Obfuscation

2010 23rd International Conference on VLSI Design

117 cites at Google Scholar

DOI: 10.1109/VLSI.Design.2010.54

30.Masoud Rostami, FarinazKoushanfar, JeyavijayanRajendran and R. Karri

Hardware Security: Threat Models and Metrics

2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)

142 cites at Google Scholar

DOI: 10.1109/ICCAD.2013.6691207




NO.31-NO.40

31.Hai Zhou, Ruifeng Jiang and Shuyu Kong

CycSAT: SAT-Based Attack on Cyclic Logic Encryptions

2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)

115 cites at Google Scholar

DOI: 10.1109/ICCAD.2017.8203759

32.Teng Xu, James Bradley Wendt and MiodragPotkonjak

Security of IoT Systems: Design Challenges and Opportunities

2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)

341 cites at Google Scholar

DOI: 10.1109/ICCAD.2014.700138

33.Timothy S. Gardner and Jeremiah J. Faith

Reverse-Engineering Transcription Control Networks

Physics of Life Reviews

306 cites at Google Scholar

DOI:10.1016/j.plrev.2005.01.001

34.Mark C. Hansen, Hakan Yalcin and John P. Hayes

Unveiling the ISCAS-85 Benchmarks: A Case Study in Reverse Engineering

IEEE Design & Test of Computers

584 cites at Google Scholar

DOI:10.1109/54.785838

35.Sharath K. Udupa, Saumya K. Debray and Matias Madou

Deobfuscation: Reverse Engineering Obfuscated Code

12th Working Conference on Reverse Engineering (WCRE'05)

255 cites at Google Scholar

DOI:10.1109/WCRE.2005.13

36.Rudolf K. Keller, Reinhard Schauer, Sébastien Robitaille and Patrick Pagé

Pattern-Based Reverse-Engineering of Design Components

Proceedings of the 1999 International Conference on Software Engineering

363 cites at Google Scholar

DOI:10.1145/302405.302622

37.Tudor Girba, and Michele Lanza

Yesterday's Weather: Guiding Early Reverse Engineering Efforts by Summarizing the Evolution of Changes

2004 20th IEEE International Conference on Software Maintenance

183 cites at Google Scholar

DOI:10.1109/ICSM.2004.1357788

38.Pramod Subramanyan, Nestan Tsiskaridze, Wenchao Li, Adrià Gascón, Wei Yang Tan, Ashish Tiwari, Natarajan Shankar, Sanjit A. Seshia and Sharad Malik

Reverse Engineering Digital Circuits Using Structural and Functional Analyses

IEEE Transactions on Emerging Topics in Computing

105 cites at Google Scholar

DOI:10.1109/TETC.2013.2294918

39. Adrian Kuhn,Stéphane Ducasse and Tudor Gîrba

Enriching Reverse Engineering with Semantic Clustering

12th Working Conference on Reverse Engineering

134 cites at Google Scholar

DOI:10.1109/WCRE.2005.16

40.Steven She, Rafael Lotufo, Thorsten Berger, Andrzej Wasowski and Krzysztof Czarnecki

Reverse Engineering Feature Models

2011 33rd International Conference on Software Engineering

362 cites at Google Scholar

DOI:10.1145/1985793.1985856




NO.41-NO.50

41.Masoud Rostami, Mehrdad Majzoobi, Farinaz Koushanfar, Dan S. Wallach and Srinivas Devadas

Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching

IEEE Transactions on Emerging Topics in Computing

147 cites at Google Scholar

DOI:10.1109/TETC.2014.2300635

42.Florian Benz, André Seffrin and Sorin A. Hussh

Bil: A Tool-chain for Bitstream Reverse-engineering

22nd International Conference on Field Programmable Logic and Applications (FPL)

102 cites at Google Scholar

DOI:10.1109/FPL.2012.6339165

43.Natalia Dragan, Michael L. Collard and Jonathan I. Maletic

Reverse Engineering Method Stereotypes

2006 22nd IEEE International Conference on Software Maintenance

102 cites at Google Scholar

DOI:10.1109/ICSM.2006.54

44.David R. Harris, Howard B. Reubenstein and Alexander S. Yeh

Reverse Engineering to the Architectural Level

1995 17th International Conference on Software Engineering

155 cites at Google Scholar

DOI:10.1145/225014.225032

45.Hassan Salmani, Mohammad Tehranipoor and Jim Plusquellic

A Novel Technique for Improving Hardware Trojan Detection and Reducing Trojan Activation Time

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

291 cites at Google Scholar

DOI: 10.1109/TVLSI.2010.2093547

46.Seetharam Narasimhan, Dongdong Du, Rajat SubhraChakraborty, Somnath Paul, Francis G. Wolff, Christos A. Papachristou, Kaushik Roy and Swarup Bhunia

Hardware Trojan Detection by Multiple-Parameter Side-channel Analysis

IEEE Transactions on Computers

207 cites at Google Scholar

DOI: 10.1109/TC.2012.200

47.Jim Aarestad, Dhruva Acharyya, Reza Rad and Jim Plusquellic

Detecting Trojans Through Leakage Current Analysis Using Multiple Supply Pad IDDQs

IEEE Transactions on Information Forensics and Security

173 cites at Google Scholar

DOI: 10.1109/TIFS.2010.2061228

48.Reza Rad, Jim Plusquellic and Mohammad Tehranipoor

A Sensitivity Analysis of Power Signal Methods for Detecting Hardware Trojans Under Real Process and Environmental Conditions

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

139 cites at Google Scholar

DOI: 10.1109/TVLSI.2009.2029117

49.Hassan Salmani

COTD: Reference-Free Hardware Trojan Detection and Recovery Based on Controllability and Observability in Gate-Level Netlist

IEEE Transactions on Information Forensics and Security

114 cites at Google Scholar

DOI: 10.1109/TIFS.2016.2613842

50.FarinazKoushanfar and AzaliaMirhoseini

A Unified Framework for Multimodal Submodular Integrated Circuits Trojan Detection

IEEE Transactions on Information Forensics and Security

137 cites at Google Scholar

DOI: 10.1109/TIFS.2010.2096811




NO.51-NO.60

51.Abdullah NazmaNowroz, Kangqiao Hu, FarinazKoushanfar and Sherief Reda

Novel Techniques for High-Sensitivity Hardware Trojan Detection Using Thermal and Power Maps

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

113 cites at Google Scholar

DOI: 10.1109/TCAD.2014.2354293

52.Sheng Wei and MiodragPotkonjak 

Scalable Hardware Trojan Diagnosis

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

132 cites at Google Scholar

DOI: 10.1109/TVLSI.2011.2147341

53.YierJin and Yiorgos Makris

Hardware Trojans in Wireless Cryptographic ICs

IEEE Design & Test of Computers

138 cites at Google Scholar

DOI: 10.1109/MDT.2010.21

54.Swarup Bhunia, MironAbramovici, Dakshi Agrawal, Paul Bradley, Michael S. Hsiao, Jim Plusquellic and Mohammad Tehranipoor

Protection Against Hardware Trojan Attacks: Towards A Comprehensive Solution

IEEE Design & Test

143 cites at Google Scholar

DOI: 10.1109/MDT.2012.2196252

55. Rajat Subhra Chakraborty, IndrasishSaha, Ayan Palchaudhuri and Gowtham Kumar Naikg

Hardware Trojan Insertion by Direct Modification of FPGA Configuration Bitstream

IEEE Design & Test

129 cites at Google Scholar

DOI: 10.1109/MDT.2013.2247460

56.Jiaji He, Yiqiang Zhao, Xiaolong Guo and YierJin

Hardware Trojan Detection Through Chip-Free Electromagnetic Side-Channel Statistical Analysis

IEEE Transactions on Very Large Scale Integration (VLSI) Systems

102 cites at Google Scholar

DOI: 10.1109/TVLSI.2017.2727985

57.Mohammad Tehranipoor, Hassan Salmani, Xuehui Zhang, Michel Wang, Ramesh Karri, Jeyavijayan Rajendran and Kurt Rosenfeld

Trustworthy Hardware: Trojan Detection and Design-for-Trust Challenges Computer

107 cites at Google Scholar

DOI: 10.1109/MC.2010.369

58.Dakshi Agrawal, SelcukBaktir, Deniz Karakoyunlu, Pankaj Rohatgi and Berk Sunar

Trojan Detection Using IC Fingerprinting

2007 IEEE Symposium on Security and Privacy (SP '07)

935 cites at Google Scholar

DOI: 10.1109/SP.2007.36

59.Mainak Banga and Michael S. Hsiao

A Region Based Approach for the Identification of Hardware Trojans

2008 IEEE International Workshop on Hardware-Oriented Security and Trust

292 cites at Google Scholar

DOI: 10.1109/HST.2008.4559047

60.Xiaoxiao Wang, Hassan Salmani, Mohammad Tehranipoor and Jim Plusquellic

Hardware Trojan Detection and Isolation Using Current Integration and Localized Current Analysis

2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems

249 cites at Google Scholar

DOI: 10.1109/DFT.2008.61




NO.61-NO.70

61.Xuehui Zhang and Mohammad Tehranipoor

Case Study: Detecting Hardware Trojans in Third-party Digital IP Cores

2011 IEEE International Symposium on Hardware-Oriented Security and Trust

220 cites at Google Scholar

DOI: 10.1109/HST.2011.5954998

62.Mainak Banga and Michael S. Hsiao

A Novel Sustained Vector Technique for the Detection of Hardware Trojans

2009 22nd International Conference on VLSI Design

235 cites at Google Scholar

DOI: 10.1109/VLSI.Design.2009.22

63.G.B. Ratanpal, R.D. Williams and T.N. Blalock

An On-chip Signal Suppression Countermeasure to Power Analysis Attacks

Proceedings of the IEEE

154 cites at Google Scholar

DOI: 10.1109/TDSC.2004.25

64.Patrick Rakers, Larry Connell, Tim Collins and Dan Russell

Secure Contactless Smartcard ASIC with DPA Protection

Proceedings of the IEEE

143 cites at Google Scholar

DOI: 10.1109/4.910496

65.Sourabh Chandra, Smita Paira, Sk Safikul Alam and Goutam Sanyal

A Comparative Survey of Symmetric and Asymmetric Key Cryptography

Proceedings of the IEEE

135 cites at Google Scholar

DOI: 10.1109/ICECCE.2014.7086640

66.David D. Hwang, Kris Tiri, Alireza Hodjat, Bo-Cheng Charles Lai, SL Yang, Patrick Schaumont and Ingrid Verbauwhede

AES-Based Security Coprocessor IC in 0.18-muhboxmCMOS With Resistance to Differential Power Analysis Side-Channel Attacks

Proceedings of the IEEE

182 cites at Google Scholar

DOI: 10.1109/JSSC.2006.870913

67.Maxime Nassar, Youssef Souissi, Sylvain Guilley and Jean-Luc Danger

RSM: A Small and Fast Countermeasure for AES, Secure against 1st and 2nd-order Zero-offset SCAs

Proceedings of the IEEE

160 cites at Google Scholar

DOI: 10.1109/DATE.2012.6176671

68.Kris Tiri and Ingrid Verbauwhede

A VLSI Design Flow for Secure Side-channel Attack Resistant ICs

Proceedings of the IEEE

125 cites at Google Scholar

DOI: 10.1109/DATE.2005.44

69.Chang Liu, Rajiv Ranjan, Chi Yang, Xuyun Zhang, Lizhe Wang and Jinjun Chen

MuR-DPA: Top-Down Levelled Multi-Replica Merkle Hash Tree Based Secure Public Auditing for Dynamic Big Data Storage on Cloud

IEEE Transactions on Computers

185 cites at Google Scholar

DOI: 10.1109/TC.2014.2375190

70.Long Chen, Hanwang Zhang, Jun Xiao, Liqiang Nie, Jian Shao, Wei Liu and Tat-Seng Chua

SCA-CNN: Spatial and Channel-Wise Attention in Convolutional Networks for Image Captioning

2017 IEEE Conference on Computer Vision and Pattern Recognition

1044 cites at Google Scholar

DOI: 10.1109/CVPR.2017.667




NO.71-NO.80

71.K. Smith and M. Palaniswami

Static and Dynamic Channel Assignment Using Neural Networks

IEEE Journal on Selected Areas in Communications

212 cites at Google Scholar

DOI: 10.1109/49.552073

72.Kris Tiri and Ingrid Verbauwhede

A Digital Design Flow for Secure Integrated Circuits

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

195 cites at Google Scholar

DOI: 10.1109/TCAD.2005.855939

73.Zhengyu Zhu, Zheng Chu, Zhongyong Wang and Inkyu Lee

Outage Constrained Robust Beamforming for Secure Broadcasting Systems with Energy Harvesting

IEEE Transactions on Wireless Communications

102 cites at Google Scholar

DOI: 10.1109/TWC.2016.2605102

74.Sung Moon Shin, Cheol-Hye Cho and Dan Keun Sung

Interference-Based Channel Assignment for DS-CDMA Cellular Systems

IEEE Transactions on Vehicular Technology

185 cites at Google Scholar

DOI: 10.1109/25.740098

75.Fangfei Liu, Yuval Yarom, Qian Ge, Gernot Heiser and Ruby B. Lee

Last-Level Cache Side-ChannelAttacks Are Practical

2015 IEEE Symposium on Security and Privacy

875 cites at Google Scholar

DOI: 10.1109/SP.2015.43

76.David Gullasch, Endre Bangerter and Stephan Krenn

Cache Games-Bringing Access-Based CacheAttackson AES to Practice

2011 IEEE Symposium on Security and Privacy

561 cites at Google Scholar

DOI: 10.1109/SP.2011.22

77.Ralf Hund, Carsten Willems and Thorsten Holz

Practical TimingSide Channel Attacksagainst Kernel Space ASLR

2013 IEEE Symposium on Security and Privacy

441 cites at Google Scholar

DOI: 10.1109/SP.2013.23

78.Ramesh Karri, Kaijie Wu, Piyush Mishra and Yongkook Kim

Concurrent Error Detection Schemes for Fault-Based Side-channel Cryptanalysis of Symmetric Block Ciphers

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

229 cites at Google Scholar

DOI: 10.1109/TCAD.2002.804378

79.Berna Ors, Frank K. Gurkaynak, Elisabeth Oswald and B. Preneel

Power-Analysis Attackon An ASIC AES Implementation

International Conference on Information Technology: Coding and Computing, 2004. Proceedings. ITCC 2004

309 cites at Google Scholar

DOI: 10.1109/ITCC.2004.1286711

80.Jiliang Zhang, Yaping Lin, YongqiangLyu and Gang Qu

A PUF-FSM Binding Scheme for FPGA IP Protection and Pay-Per-Device Licensing

IEEE Transactions on Information Forensics and Security

241 cites at Google Scholar

DOI: 10.1109/TIFS.2015.2400413




NO.81-NO.90

81.Jiliang Zhang and Gang Qu

Physical Unclonable Function-Based Key Sharing Via Machine Leaning for IoT Security

IEEE Transactions on Industrial Electronics

71 cites at Google Scholar

DOI: 10.1109/TIE.2019.2938462

82.Yohei Hori, Takahiro Yoshida, Toshihiro Katashita and Akashi Satoh

Quantitative and Statistical Performance Evaluation of Arbiter Physical Unclonable Functions on FPGAs

2010 International Conference on Reconfigurable Computing and FPGAs

119 cites at Google Scholar

DOI: 10.1109/ReConFig.2010.24

83.Bo Yang, Kaijie Wu, Ramesh Karri

Scan Based Side Channel Attack on Dedicated Hardware Implementations of Data Encryption Standard

2004 International Conference on Test

396 cites at Google Scholar

DOI:10.1109/TEST.2004.1386969

84.Meng-Day Yu and Srinivas Devadas

Secure and Robust Error Correction for Physical Unclonable Functions

IEEE Design & Test of Computers

204 cites at Google Scholar

DOI: 10.1109/MDT.2010.25

85.AbhranilMaiti, Jeff Casarona, Luke McHale and Patrick Schaumont

A Large Scale Characterization of RO-PUF

2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST)

195 cites at Google Scholar

DOI: 10.1109/HST.2010.5513108

86.Tiago M. Fernández-Caramés

From Pre-Quantum to Post-Quantum IoT Security: A Survey on Quantum-Resistant Cryptosystems for the Internet of Things

IEEE Internet of Things Journal

31 cites at Google Scholar

DOI: 10.1109/JIOT.2019.2958788

87.Mozaffari-Kermani M, Azarderakhsh R, Aghaie A

Fault Detection Architectures for Post-quantum Cryptographic Stateless Hash-based Secure Signatures Benchmarked on ASIC

ACM Transactions on Embedded Computing Systems (TECS)

39 cites at Google Scholar

DOI: 10.1145/2930664

88.Cong Zhang, Zilong Liu, Yuyang Chen, Jiahao Lu, and Dongsheng Liu

A Flexible and Generic Gaussian Sampler with Power Side-Channel Countermeasures for Quantum-Secure Internet of Things

IEEE Internet of Things Journal

4 cites at Google Scholar

DOI: 10.1109/JIOT.2020.2981133

89.Brian Koziel, Reza Azarderakhsh, Mehran MozaffariKermani, David Jao

Post-quantum Cryptography on FPGA Based on Isogenies on Elliptic Curves

IEEE Transactions on Circuits and Systems I: Regular Papers

110 cites at Google Scholar

DOI: 10.1109/TCSI.2016.2611561

90.Dongsheng Liu, Cong Zhang, Hui Lin, Yuyang Chen, Mingyu Zhang

A Resource-efficient and Side-channel Secure Hardware Implementation of Ring-LWE Cryptographic Processor

IEEE Transactions on Circuits and Systems I: Regular Papers

14 cites at Google Scholar

DOI: 10.1109/TCSI.2018.2883966




NO.91-NO.100

91.Fangfei Liu, Yuval Yarom, Qian Ge, Gernot Heiser, Ruby B. Lee 

Last-Level Cache Side-Channel Attacks Are Practical

2015 IEEE Symposium on Security and Privacy

879 cites at Google Scholar

DOI:10.1109/SP.2015.43

92.Benoît Chevallier-Mames, Mathieu Ciet, Marc Joye 

Low-Cost Solutions for Preventing Simple Side-Channel Analysis: Side-Channel Atomicity

IEEE Transactions on Computers

159 cites at Google Scholar

DOI:10.1109/TC.2004.13

93.Roel Maes, PimTuyls and Ingrid Verbauwhede

A Soft Decision Helper Data Algorithm for SRAM PUFs

2009 IEEE International Symposium on Information Theory

104 cites at Google Scholar

DOI: 10.1109/ISIT.2009.5205263

94.Mehrdad Majzoobi, FarinazKoushanfar and Srinivas Devadas

FPGA PUF using Programmable Delay Lines

2010 IEEE International Workshop on Information Forensics and Security

186 cites at Google Scholar

DOI: 10.1109/WIFS.2010.5711471

95.Leonid Bolotnyy and Gabriel Robins

Physically Unclonable Function-Based Security and Privacy in RFID Systems

5th Annual IEEE International Conference on Pervasive Computing and Communications (PerCom'07)

109 cites at Google Scholar

DOI: 10.1109/PERCOM.2007.26

96.Teng Xu,James Bradley Wendt,MiodragPotkonjak

Power-analysis Attack on An ASIC AES Implementation

International Conference on Information Technology: Coding and Computing, 2004. Proceedings. ITCC 2004

310 cites at Google Scholar

DOI: 10.1109/ITCC.2004.1286711

97.Jiliang Zhang, Yaping Lin, YongqiangLyu and Gang Qu

A PUF-FSM Binding Scheme for FPGA IP Protection and Pay-Per-Device Licensing

IEEE Transactions on Information Forensics and Security

135 cites at Google Scholar

DOI: 10.1109/TIFS.2015.2400413

98.ZdenekParal and Srinivas Devadas

Reliable and Efficient PUF-based Key Generation Using Pattern Matching

2011 IEEE International Symposium on Hardware-Oriented Security and Trust

176 cites at Google Scholar

DOI: 10.1109/HST.2011.5955010

99. Jeremy Lee, Mohammad Tehranipoor, Chintan Patel and Jim Plusquellic

Securing Designs against Scan-Based Side-Channel Attacks

IEEE Transactions on Dependable and Secure Computing

158 cites at Google Scholar

DOI: 10.1109/TDSC.2007.70215

100. Thanh-Ha Le, Jessy Clediere, Christine Serviere and Jean-Louis Lacoume

Noise Reduction in Side Channel Attack Using Fourth-Order Cumulant

IEEE Transactions on Information Forensics and Security

98 cites at Google Scholar

DOI: 10.1109/TIFS.2007.910252

关注更多精彩学术前沿资讯和报告请关注《电子与信息学报》微信订阅号和视频号



资料搜集整理:张跃军

美 编:刘祎洋、马秀强

校 对:余 蓉、刘艳玲

审 核:陈 倩

声 明

本文系《电子与信息学报》独家稿件,内容仅供学习交流,版权属于原作者。

欢迎评论、转载和分享本公众号原创内容,转载请与本号联系授权,标注原作者和信息来源《电子与信息学报》。

本号发布信息旨在传播交流。如涉及文字、图片、版权等问题,请在20日内与本号联系,我们将第一时间处理。《电子与信息学报》拥有最终解释权。




往期回顾

Previous review

佳文

Top100低功耗集成电路经典论文大盘点

Top100密码学经典论文大盘点

Top100图神经网络论文大盘点

经典重温:卡尔曼滤波器介绍与理论分析

2021人工智能状况分析报告

佳文拾萃之通信与物联网(2020~2021年30篇好文推荐)

佳文拾萃之雷达与声呐(2020~2021年100篇好文推荐)上篇

佳文拾萃之雷达与声呐(2020~2021年100篇好文推荐)下篇

佳文拾萃之网络空间安全(2020~2021年50篇好文推荐)

佳文拾萃之电路与系统技术(2020~2021年45篇好文推荐)

佳文选读 | 基于时频检测与极化匹配的雷达无人机检测方法

佳文选读 | 面向6G物联网的分布式译码技术

佳文选读 | 基于图像分割网络的深度假脸视频篡改检测


新闻

《电子与信息学报》对河南省稿件免除一切费用!

《电子与信息学报》关于学术不端论文的认定和处理办法

中科院空天信息创新研究院2022年校园招聘

第五届“中科星图杯”国际高分遥感图像解译大赛火热启动

南京邮电大学“暑期学校”开始报名啦

我们拥有第一个正式 logo 啦

北大核心期刊目录发布!《电子与信息学报》蝉联无线电电子学、电信技术类排名榜首!


征文

《电子与信息学报》微信公众号征文通知

征文通知|“天地融合智能组网技术”专题

征文通知|“面向6G的异构网络融合技术”专题

征文通知|“恶劣环境下的图像处理技术”专题

征文通知|“深度学习与智慧医疗”专题


系列

"E家之言”系列线上论坛

推特最多的写作指导 | 写好结构化论文的十条规则

七大招教你书写优秀的学术论文标题

刚开始写论文,太难了,坚持不下去,有什么好的建议么?

电子与信息类高考志愿填报·指南针(一)

电子与信息类高考志愿填报·指南针(二)

电子与信息类高考志愿填报·指南针(三)




联系我们

电话:010-58887066地址:北京市海淀区北四环西路19号官网:http://jeit.ie.ac.cn邮箱:jeit@mail.ie.ac.cn



您可能也对以下帖子感兴趣

文章有问题?点此查看未经处理的缓存